انجمن گفتگوی فراد اندیش

New Member
عضو شده: 24 شهریور، 1398 12:49 ب.ظ
موضوعات: 0 / پاسخ‌: 4
پاسخ
پاسخ: اشکال در اجرای برنامه های جانبی نرم افزار ISE

hierarchy --> right click --> new source --> VHDL Test Bench..... اینم کد تست بنچ: LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncommen...

4 سال قبل
تالار گفتمان
پاسخ
پاسخ: اشکال در اجرای برنامه های جانبی نرم افزار ISE

و کد یکی از مازول ها: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Example_01_Full_Adder is Port ( A : in STD_LOGIC; B : ...

4 سال قبل
تالار گفتمان
پاسخ
پاسخ: اشکال در اجرای برنامه های جانبی نرم افزار ISE

این کد top module: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Example_02_FA_4bit is Port ( A : in STD_LOGIC_VECTOR (3 downto 0);...

4 سال قبل
تالار گفتمان
پاسخ
پاسخ: اشکال در اجرای برنامه های جانبی نرم افزار ISE

با سلام. من طبق ویدیوهای اموزشی، یک full adder رو میخوام شبیه سازی کنم توی نرم افزار ISim. در مرحله simulate behavioral model با این خطا برخورد می کن...

4 سال قبل
تالار گفتمان
>