انجمن گفتگوی فراد اندیش

Eminent Member
عضو شده: 12 اردیبهشت، 1396 8:28 ق.ظ
آخرین مشاهده: 11 فروردین، 1403 12:59 ب.ظ
موضوعات: 5 / پاسخ‌: 21
پاسخ
پاسخ: جمع کننده سریال

خواهش می کنم توی نرم افزار ایتا هستم @Mdjavad

4 سال قبل
پاسخ
پاسخ: جمع کننده سریال

بله تو لبه بالا رونده کلاک ورودی هرمقداری داشته باشه وارد شیفت رجیسترها میشه فقط فرکانس ها رو هم درنظر بگیرین که یکی باشن مثلا اگه فرکانس کلاک 100 مگ...

4 سال قبل
پاسخ
پاسخ: جمع کننده سریال

با فرض عرض بیت 4 شما در اصل اینو نوشتین sr(1) <= sr(0) sr(2) <= sr(1) sr(3) <= sr(2) خب توی این توصیف شما برای sr(0) مقداری مشخص نکردین، بناب...

4 سال قبل
پاسخ
پاسخ: جمع کننده سریال

سلام برای ساخت شیفت رجیستر باید یک سیگنال چندبیتی (به تعداد ظرفیت شیفت رجیستر) تعریف کنین و تو هر لبه بالارونده کلاک ورودی سریال رو به داخلش شیفت بدین...

4 سال قبل
پاسخ
پاسخ: پردازش تصویر با برد فراد۱

سلام از نمونه کارهایی که در اینترنت هست میشه فهمید که fpga برد فراد1 قابلیت پخش آنلاین تصویر دوربین ov7670 رو داره همونطور که جناب ثقفی فرمودین به کمک...

4 سال قبل
پاسخ
پاسخ: شیوه import داده در بلوک ram

سلام برای این کار کافی است به سیگنال های بلاک رمتون مقدار اولیه بدین و مقدار اولیه هم داده هایی که مورد نیازتون است می باشند.

4 سال قبل
پاسخ
پاسخ: اشکال در اجرای برنامه های جانبی نرم افزار ISE

کدهاتون هیچ مشکلی ندارن و شبیه سازی هم میشن 1 v- رو طبق راهنمایی که تو این لینک هست فعال کنین تا توضیحات بیشتری بده در مورد خطا

4 سال قبل
تالار گفتمان
پاسخ
پاسخ: اشکال در اجرای برنامه های جانبی نرم افزار ISE

کدتون مشکلی نداره ماژول تست بنچ رو چه جوری درست میکنین؟ اون رو هم بزارین

4 سال قبل
تالار گفتمان
پاسخ
4 سال قبل
تالار گفتمان
پاسخ
پاسخ: تمام شدن sliceها

سلام اینجوری که من فهمیدم هیچ وقت نمیشه به طور 100 درصد از تمامی منابع استفاده کرد. یعنی هرچه قدر هم که نرم افزار بتونه خوب place و route کنه بازهم نم...

4 سال قبل
پاسخ
پاسخ
پاسخ: دریافت ورودی ۱۶ بیتی

سلام باید یک رجیستر 1024 بیتی داشته باشین و تو لبه ی بالارونده هر کلاک وروردی 16 بیتی رو داخل 16بیت کم ارزشش بریزین و سپس 16 شیفت به چپ بدین if rising...

4 سال قبل
پاسخ
پاسخ: اندازه گیری اختلاف فاز بین دو سیگنال

سلام به نظرم باید از مدار تشخیص گذر از صفر کنین به کمک اختلاف زمان بین گذر از صفر جریان و ولتاژ میتونین اختلاف فاز رو بدست بیارین

4 سال قبل
پاسخ
پاسخ: تمام شدن sliceها

سلام سلامت باشین خداروشکر حل شد ممنون

4 سال قبل
پاسخ
پاسخ: تمام شدن sliceها

خیلی ممنون از پاسختون مسئله اینه که ماژول اصلی من بسیار حجم کمی داره و من قصد دارم این ماژول رو به صورت موازی و به تعداد زیادی گسترش بدم. به عنوان مث...

4 سال قبل
صفحه 1 / 2
>