انجمن گفتگوی فراد اندیش

به اشتراک بگذارید:
آگاه‌سازی‌ها
پاک‌کردن همه

ایجاد شیفت برای ال ای دی چشمک زن

3 ارسال‌
2 کاربران
0 Reactions
1,176 نمایش‌
(@mahan)
ارسال‌: 4
Active Member
شروع کننده موضوع
 

<p style="text-align: left;">سلام</p>
<p style="text-align: left;">بابت برنامه های آموزشیتون خیلی تشکر میکنم ،خیلی بادقت و کاملا موضوع رو توضیح میدید.</p>
<p style="text-align: left;">این برنامه بدرستی سنتز میشه ولی در هنگام اجرای سخت افزاری همه ال ای دی ها با هم روشن و خاموش میشن ولی تاخیر درون آن لحاظ میشه. لطفا راهنمایی بفرمایید مشکل از کجاست.</p>
<p style="text-align: left;">من میخوام ۶ تا ال ای دی رو به ترتیب از یک سمت با یک تاخیر مشخص روشن کنم و وقتی بعدی روشن میشه قبلی خاموش بشه.
</p>

 
ارسال‌شده : 4 آذر، 1396 1:30 ب.ظ
(@mahan)
ارسال‌: 4
Active Member
شروع کننده موضوع
 

کسی پیدا نمیشه جواب بده؟؟

 
ارسال‌شده : 10 آذر، 1396 1:57 ق.ظ
(@asadi-saeed)
ارسال‌: 1
New Member Customer
 

سلام
من این مدار و ساختم
فقط طبق توضیحات مهندس ثقفی باید مقدار اولیه به سیگنال رو موقعی که تعریفش کردی بهش بدی
شما تو شرط لبه بالا رونده مقدار رو اساین کردی همچنین فقط تنها سیگنالی که در لیست حساسیت هست کلاکه
من کد خودمو برات میذارم
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity led_blinker is
Port ( clock : in STD_LOGIC;
sh : out unsigned (7 downto 0));

end led_blinker;

architecture Behavioral of led_blinker is

signal sh_register : unsigned (7 downto 0) := "00011000" ;
signal delay_counter : unsigned (25 downto 0) := (others => '0') ;

begin

sh <= sh_register ;
process (clock)
begin
if rising_edge (clock) then
if delay_counter < to_unsigned (49999999,26) then
delay_counter <= delay_counter + 1 ;
else
sh_register <= sh_register(0) & sh_register(7 downto 1) ;
delay_counter <= (others => '0') ;

end if ;
end if ;
end process ;
end Behavioral;

 
ارسال‌شده : 10 اردیبهشت، 1399 7:11 ب.ظ
به اشتراک بگذارید:
>